Title/Authors | Title | Research Artifacts
[?] A research
artifact is any by-product of a research project that is not
directly included in the published research paper. In Computer
Science research this is often source code and data sets, but
it could also be media, documentation, inputs to proof
assistants, shell-scripts to run experiments, etc.
|
Details |
---|
Leveraging FDSOI through body bias domain partitioning and bias search Johannes Maximilian Kühn, Hideharu Amano, Oliver Bringmann, Wolfgang Rosenstiel |
Leveraging FDSOI through body bias domain partitioning and bias search Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
Invited - Wireless sensor nodes for environmental monitoring in internet of things Ting-Chou Lu, Li-Ren Huang, Yu Lee, Kun-Ju Tsai, Yu-Te Liao, Nai-Chen Daniel Cheng, Yuan-Hua Chu, Yi-Hsing Tsai, Fang-Chu Chen, Tzi-cker Chiueh |
Invited - Wireless sensor nodes for environmental monitoring in internet of things Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
Nonvolatile memory design based on ferroelectric FETs Sumitha George, Kaisheng Ma, Ahmedullah Aziz, Xueqing Li, Asif I. Khan, Sayeef S. Salahuddin, Meng-Fan Chang, Suman Datta, John Sampson, Sumeet Kumar Gupta, Vijaykrishnan Narayanan |
Nonvolatile memory design based on ferroelectric FETs Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
Reducing serial I/O power in error-tolerant applications by efficient lossy encoding Phillip Stanley-Marbell, Martin C. Rinard |
Reducing serial I/O power in error-tolerant applications by efficient lossy encoding Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
Enabling sub-blocks erase management to boost the performance of 3D NAND flash memory Tseng-Yi Chen, Yuan-Hao Chang, Chien-Chung Ho, Shuo-Han Chen |
Enabling sub-blocks erase management to boost the performance of 3D NAND flash memory Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
Perform-ML: performance optimized machine learning by platform and content aware customization Azalia Mirhoseini, Bita Darvish Rouhani, Ebrahim M. Songhori, Farinaz Koushanfar |
Perform-ML: performance optimized machine learning by platform and content aware customization Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
Designing approximate circuits using clock overgating Younghoon Kim, Swagath Venkataramani, Kaushik Roy, Anand Raghunathan |
Designing approximate circuits using clock overgating Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
Invited - Energy harvesting and transient computing: a paradigm shift for embedded systems? Geoff V. Merrett |
Invited - Energy harvesting and transient computing: a paradigm shift for embedded systems? Details |
|
Discussion Comments:
0
Verification:
Author has
not verified
information
|
An expected hypervolume improvement algorithm for architectural exploration of embedded processors Hongwei Wang, Jinglin Shi, Ziyuan Zhu |
An expected hypervolume improvement algorithm for architectural exploration of embedded processors Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
Miao Hu, John Paul Strachan, Zhiyong Li, Emmanuelle M. Grafals, Noraica Davila, Catherine Graves, Sity Lam, Ning Ge, Jianhua Joshua Yang, R. Stanley Williams |
Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
Dynamic energy-accuracy trade-off using stochastic computing in deep neural networks Kyounghoon Kim, Jungki Kim, Joonsang Yu, Jungwoo Seo, Jongeun Lee, Kiyoung Choi |
Dynamic energy-accuracy trade-off using stochastic computing in deep neural networks Details |
|
Author Comments:
Discussion Comments:
0
Sharing:
Research produced no artifacts
Verification:
Authors have
verified
information
|
Chung-Wei Lin, Huafeng Yu |
Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
Bhavya K. Daya, Li-Shiuan Peh, Anantha P. Chandrakasan |
Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
Invited - Heterogeneous datacenters: options and opportunities Jason Cong, Muhuan Huang, Di Wu, Cody Hao Yu |
Invited - Heterogeneous datacenters: options and opportunities Details |
Author Comments:
Discussion Comments:
0
Sharing:
Research produced artifacts
Verification:
Authors have
verified
information
|
|
A low-cost conflict-free NoC for GPGPUs Xia Zhao, Sheng Ma, Yuxi Liu, Lieven Eeckhout, Zhiying Wang |
A low-cost conflict-free NoC for GPGPUs Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
A Monte Carlo simulation flow for SEU analysis of sequential circuits Meng Li, Ye Wang, Michael Orshansky |
A Monte Carlo simulation flow for SEU analysis of sequential circuits Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
A high-resolution side-channel attack on last-level cache Mehmet Kayaalp, Nael B. Abu-Ghazaleh, Dmitry V. Ponomarev, Aamer Jaleel |
A high-resolution side-channel attack on last-level cache Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
SecDCP: secure dynamic cache partitioning for efficient timing channel protection Yao Wang, Andrew Ferraiuolo, Danfeng Zhang, Andrew C. Myers, G. Edward Suh |
SecDCP: secure dynamic cache partitioning for efficient timing channel protection Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
Privacy preserving localization for smart automotive systems Siam U. Hussain, Farinaz Koushanfar |
Privacy preserving localization for smart automotive systems Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
ageOpt-RMT: compiler-driven variation-aware aging optimization for redundant multithreading Florian Kriebel, Semeen Rehman, Muhammad Shafique, Jörg Henkel |
ageOpt-RMT: compiler-driven variation-aware aging optimization for redundant multithreading Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
Zhuo Feng |
Details |
|
Discussion Comments:
0
Verification:
Author has
not verified
information
|
Precise error determination of approximated components in sequential circuits with model checking Arun Chandrasekharan, Mathias Soeken, Daniel Große, Rolf Drechsler |
Precise error determination of approximated components in sequential circuits with model checking Details |
Author Comments:
Discussion Comments:
0
Sharing:
Research produced artifacts
Verification:
Authors have
verified
information
|
|
Design partitioning for large-scale equivalence checking and functional correction Grace Wu, Yi-Tin Sun, Jie-Hong R. Jiang |
Design partitioning for large-scale equivalence checking and functional correction Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
Legalization algorithm for multiple-row height standard cell design Wing-Kai Chow, Chak-Wa Pui, Evangeline F. Y. Young |
Legalization algorithm for multiple-row height standard cell design Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
Lower power by voltage stacking: a fine-grained system design approach Kristof Blutman, Ajay Kapoor, Jacinto Garcia Martinez, Hamed Fatemi, José Pineda de Gyvez |
Lower power by voltage stacking: a fine-grained system design approach Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
Designing guardbands for instantaneous aging effects Victor M. van Santen, Hussam Amrouch, Javier Martín-Martínez, Montserrat Nafría, Jörg Henkel |
Designing guardbands for instantaneous aging effects Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
SwiftGPU: fostering energy efficiency in a near-threshold GPU through a tactical performance boost Prabal Basu, Hu Chen, Shamik Saha, Koushik Chakraborty, Sanghamitra Roy |
SwiftGPU: fostering energy efficiency in a near-threshold GPU through a tactical performance boost Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
AVFSM: a framework for identifying and mitigating vulnerabilities in FSMs Adib Nahiyan, Kan Xiao, Kun Yang, Yier Jin, Domenic Forte, Mark Tehranipoor |
AVFSM: a framework for identifying and mitigating vulnerabilities in FSMs Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
An area-efficient consolidated configurable error correction for approximate hardware accelerators Sana Mazahir, Osman Hasan, Rehan Hafiz, Muhammad Shafique, Jörg Henkel |
An area-efficient consolidated configurable error correction for approximate hardware accelerators Details |
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
|
Hybrid STT-CMOS designs for reverse-engineering prevention Theodore Winograd, Hassan Salmani, Hamid Mahmoodi, Kris Gaj, Houman Homayoun |
Hybrid STT-CMOS designs for reverse-engineering prevention Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
James Howe, Ciara Moore, Máire O'Neill, Francesco Regazzoni, Tim Güneysu, K. Beeden |
Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
Simplifying deep neural networks for neuromorphic architectures Jaeyong Chung, Taehwan Shin |
Simplifying deep neural networks for neuromorphic architectures Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
Efficient transistor-level timing yield estimation via line sampling Hiromitsu Awano, Takashi Sato |
Efficient transistor-level timing yield estimation via line sampling Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
Synergistic timing speculation for multi-threaded programs Atif Yasin, Jeff Jun Zhang, Hu Chen, Siddharth Garg, Sanghamitra Roy, Koushik Chakraborty |
Synergistic timing speculation for multi-threaded programs Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
Probabilistic bug-masking analysis for post-silicon tests in microprocessor verification Doowon Lee, Tom Kolan, Arkadiy Morgenshtein, Vitali Sokhin, Ronny Morad, Avi Ziv, Valeria Bertacco |
Probabilistic bug-masking analysis for post-silicon tests in microprocessor verification Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
Debugging and verifying SoC designs through effective cross-layer hardware-software co-simulation Keith A. Campbell, Leon He, Liwei Yang, Swathi T. Gurumani, Kyle Rupnow, Deming Chen |
Debugging and verifying SoC designs through effective cross-layer hardware-software co-simulation Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
Invited - The case for embedded scalable platforms Luca P. Carloni |
Invited - The case for embedded scalable platforms Details |
|
Discussion Comments:
0
Verification:
Author has
not verified
information
|
Notifying memories: a case-study on data-flow applications with NoC interfaces implementation Kevin J. M. Martin, Mostafa Rizk, Martha Johanna Sepúlveda, Jean-Philippe Diguet |
Notifying memories: a case-study on data-flow applications with NoC interfaces implementation Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
An efficient method for multi-level approximate logic synthesis under error rate constraint Yi Wu, Weikang Qian |
An efficient method for multi-level approximate logic synthesis under error rate constraint Details |
|
Author Comments:
Discussion Comments:
0
Sharing:
Research produced no artifacts
Verification:
Authors have
verified
information
|
Invited - Ultra low power integrated transceivers for near-field IoT Mihai Sanduleanu, Ibrahim Abe M. Elfadel |
Invited - Ultra low power integrated transceivers for near-field IoT Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
Two-step state transition minimization for lifetime and performance improvement on MLC STT-RAM Huizhang Luo, Jingtong Hu, Liang Shi, Chun Jason Xue, Qingfeng Zhuge |
Two-step state transition minimization for lifetime and performance improvement on MLC STT-RAM Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
Resource budgeting for reliability in reconfigurable architectures Hongyan Zhang, Lars Bauer, Jörg Henkel |
Resource budgeting for reliability in reconfigurable architectures Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
Improving high-level synthesis with decoupled data structure optimization Ritchie Zhao, Gai Liu, Shreesha Srinath, Christopher Batten, Zhiru Zhang |
Improving high-level synthesis with decoupled data structure optimization Details |
|
Author Comments:
Discussion Comments:
0
Sharing:
Research produced no artifacts
Verification:
Authors have
verified
information
|
Wei Wen, Chunpeng Wu, Yandan Wang, Kent W. Nixon, Qing Wu, Mark Barnell, Hai Li, Yiran Chen |
Details |
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
|
Predicting electromigration mortality under temperature and product lifetime specifications Vivek Mishra, Sachin S. Sapatnekar |
Predicting electromigration mortality under temperature and product lifetime specifications Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
Real-time co-scheduling of multiple dataflow graphs on multi-processor systems Shin-Haeng Kang, Duseok Kang, Hoeseok Yang, Soonhoi Ha |
Real-time co-scheduling of multiple dataflow graphs on multi-processor systems Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
Information dispersion for trojan defense through high-level synthesis S. T. Choden Konigsmark, Deming Chen, Martin D. F. Wong |
Information dispersion for trojan defense through high-level synthesis Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
MTJ variation monitor-assisted adaptive MRAM write Shaodi Wang, Hochul Lee, Cecile Grezes, Pedram Khalili, Kang L. Wang, Puneet Gupta |
MTJ variation monitor-assisted adaptive MRAM write Details |
Author Comments:
Discussion Comments:
0
Sharing:
Research produced artifacts
Verification:
Authors have
verified
information
|
|
Optimal design of JPEG hardware under the approximate computing paradigm Farhana Sharmin Snigdha, Deepashree Sengupta, Jiang Hu, Sachin S. Sapatnekar |
Optimal design of JPEG hardware under the approximate computing paradigm Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
Similarity-based wakeup management for mobile systems in connected standby Chun-Hao Kao, Sheng-Wei Cheng, Pi-Cheng Hsiu |
Similarity-based wakeup management for mobile systems in connected standby Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
Re-target-able software power management framework using SoC data auto-generation Piyali Goswami, Sushaanth Srirangapathi, Chetan Matad, Stanley Liu |
Re-target-able software power management framework using SoC data auto-generation Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
Grace Li Zhang, Bing Li, Ulf Schlichtmann |
Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
Catching the flu: emerging threats from a third party power management unit Rajesh Jayashankara Shridevi, Chidhambaranathan Rajamanikkam, Koushik Chakraborty, Sanghamitra Roy |
Catching the flu: emerging threats from a third party power management unit Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
PLL to the rescue: a novel EM fault countermeasure Noriyuki Miura, Zakaria Najm, Wei He, Shivam Bhasin, Xuan Thuy Ngo, Makoto Nagata, Jean-Luc Danger |
PLL to the rescue: a novel EM fault countermeasure Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
The cat and mouse in split manufacturing Yujie Wang, Pu Chen, Jiang Hu, Jeyavijayan Rajendran |
The cat and mouse in split manufacturing Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
Statistical path tracing in timing graphs Vasant Rao, Debjit Sinha, Nitin Srimal, Prabhat K. Maurya |
Statistical path tracing in timing graphs Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
Remote attestation for low-end embedded devices: the prover's perspective Franz Ferdinand Brasser, Kasper Bonne Rasmussen, Ahmad-Reza Sadeghi, Gene Tsudik |
Remote attestation for low-end embedded devices: the prover's perspective Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
A framework for verification of SystemC TLM programs with model slicing: a case study Reza Hajisheykhi, Mohammad Roohitavaf, Ali Ebnenasir, Sandeep S. Kulkarni |
A framework for verification of SystemC TLM programs with model slicing: a case study Details |
|
Author Comments:
Discussion Comments:
0
Sharing:
Other
Verification:
Authors have
verified
information
|
NVSim-VXs: an improved NVSim for variation aware STT-RAM simulation Enes Eken, Linghao Song, Ismail Bayram, Cong Xu, Wujie Wen, Yuan Xie, Yiran Chen |
NVSim-VXs: an improved NVSim for variation aware STT-RAM simulation Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
Yang Song, Kambiz Samadi, Bill Lin |
Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
Reliability-aware design to suppress aging Hussam Amrouch, Behnam Khaleghi, Andreas Gerstlauer, Jörg Henkel |
Reliability-aware design to suppress aging Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
A novel time and voltage based SAR ADC design with self-learning technique Abhilash Karnatakam Nagabhushana, Haibo Wang |
A novel time and voltage based SAR ADC design with self-learning technique Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
Matthew Poremba, Tao Zhang, Yuan Xie |
Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
Latency sensitivity-based cache partitioning for heterogeneous multi-core architecture Po-Han Wang, Cheng-Hsuan Li, Chia-Lin Yang |
Latency sensitivity-based cache partitioning for heterogeneous multi-core architecture Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
Match-making for monolithic 3D IC: finding the right technology node Kyungwook Chang, Saurabh Sinha, Brian Cline, Greg Yeric, Sung Kyu Lim |
Match-making for monolithic 3D IC: finding the right technology node Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
Reducing control bit overhead for X-masking/X-canceling hybrid architecture via pattern partitioning Jin-Hyun Kang, Nur A. Touba, Joon-Sung Yang |
Reducing control bit overhead for X-masking/X-canceling hybrid architecture via pattern partitioning Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
Practical statistical static timing analysis with current source models Debjit Sinha, Vladimir Zolotov, Sheshashayee K. Raghunathan, Michael H. Wood, Kerim Kalafala |
Practical statistical static timing analysis with current source models Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
A low-power dynamic divider for approximate applications Soheil Hashemi, R. Iris Bahar, Sherief Reda |
A low-power dynamic divider for approximate applications Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
Fault injection acceleration by simultaneous injection of non-interacting faults Mojtaba Ebrahimi, Mohammad Hadi Moshrefpour, Mohammad Saber Golanbari, Mehdi Baradaran Tahoori |
Fault injection acceleration by simultaneous injection of non-interacting faults Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
Honghuang Lin, Peng Li |
Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
Serial T0: approximate bus encoding for energy-efficient transmission of sensor signals Daniele Jahier Pagliari, Enrico Macii, Massimo Poncino |
Serial T0: approximate bus encoding for energy-efficient transmission of sensor signals Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
Li Du, Chun-Chen Liu, Adrian Tang, Yan Zhang, Yilei Li, Kye Cheung, Mau-Chung Frank Chang |
Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
Invited - Specification and modeling for systems-on-chip security verification Sharad Malik, Pramod Subramanyan |
Invited - Specification and modeling for systems-on-chip security verification Details |
Author Comments:
Discussion Comments:
0
Sharing:
Research produced artifacts
Verification:
Authors have
verified
information
|
|
Miguel Angel Aguilar, Rainer Leupers, Gerd Ascheid, Luis Gabriel Murillo |
Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
I-Peng Wu, Hung-Chih Ou, Yao-Wen Chang |
Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
Invited - Who is the major threat to tomorrow's security?: you, the hardware designer Wayne P. Burleson, Onur Mutlu, Mohit Tiwari |
Invited - Who is the major threat to tomorrow's security?: you, the hardware designer Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
Comprehensive optimization of scan chain timing during late-stage IC implementation Kun Young Chung, Andrew B. Kahng, Jiajia Li |
Comprehensive optimization of scan chain timing during late-stage IC implementation Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
nZDC: a compiler technique for near zero silent data corruption Moslem Didehban, Aviral Shrivastava |
nZDC: a compiler technique for near zero silent data corruption Details |
Author Comments:
Discussion Comments:
0
Sharing:
Research produced artifacts
Verification:
Authors have
verified
information
|
|
Deepashree Sengupta, Vivek Mishra, Sachin S. Sapatnekar |
Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
AOS: adaptive overwrite scheme for energy-efficient MLC STT-RAM cache Xunchao Chen, Navid Khoshavi, Jian Zhou, Dan Huang, Ronald F. DeMara, Jun Wang, Wujie Wen, Yiran Chen |
AOS: adaptive overwrite scheme for energy-efficient MLC STT-RAM cache Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
Timing-driven cell placement optimization for early slack histogram compression Chau-Chin Huang, Yen-Chun Liu, Yu-Sheng Lu, Yun-Chih Kuo, Yao-Wen Chang, Sy-Yen Kuo |
Timing-driven cell placement optimization for early slack histogram compression Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
BLESS: a simple and efficient scheme for prolonging PCM lifetime Marjan Asadinia, Majid Jalili, Hamid Sarbazi-Azad |
BLESS: a simple and efficient scheme for prolonging PCM lifetime Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
A fast simulator for the analysis of sub-threshold thermal noise transients Marco Donato, R. Iris Bahar, William R. Patterson, Alexander Zaslavsky |
A fast simulator for the analysis of sub-threshold thermal noise transients Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
Procedural capacitor placement in differential charge-scaling converters by nonlinearity analysis Florin Burcea, Husni M. Habal, Helmut E. Graeb |
Procedural capacitor placement in differential charge-scaling converters by nonlinearity analysis Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
Renzhi Liu, Jeffrey A. Weldon, Larry T. Pileggi |
Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
Minimum-implant-area-aware detailed placement with spacing constraints Kai-Han Tseng, Yao-Wen Chang, Charles C. C. Liu |
Minimum-implant-area-aware detailed placement with spacing constraints Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
A distributed timing analysis framework for large designs Tsung-Wei Huang, Martin D. F. Wong, Debjit Sinha, Kerim Kalafala, Natesan Venkateswaran |
A distributed timing analysis framework for large designs Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
Flip-flop clustering by weighted K-means algorithm Gang Wu, Yue Xu, Dean Wu, Manoj Ragupathy, Yu-Yen Mo, Chris C. N. Chu |
Flip-flop clustering by weighted K-means algorithm Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
Qicheng Huang, Chenlei Fang, Fan Yang, Xuan Zeng, Dian Zhou, Xin Li |
Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
Tianyu Jia, Yuanbo Fan, Russ Joseph, Jie Gu |
Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
Alireza Shafaei, Hassan Afzali-Kusha, Massoud Pedram |
Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
Columba: co-layout synthesis for continuous-flow microfluidic biochips Tsun-Ming Tseng, Mengchu Li, Bing Li, Tsung-Yi Ho, Ulf Schlichtmann |
Columba: co-layout synthesis for continuous-flow microfluidic biochips Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
DAG-aware logic synthesis of datapaths Cunxi Yu, Maciej J. Ciesielski, Mihir Choudhury, Andrew Sullivan |
DAG-aware logic synthesis of datapaths Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
Switched by input: power efficient structure for RRAM-based convolutional neural network Lixue Xia, Tianqi Tang, Wenqin Huangfu, Ming Cheng, Xiling Yin, Boxun Li, Yu Wang, Huazhong Yang |
Switched by input: power efficient structure for RRAM-based convolutional neural network Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
Tsun-Ming Tseng, Bing Li, Ching-Feng Yeh, Hsiang-Chieh Jhan, Zuo-Min Tsai, Mark Po-Hung Lin, Ulf Schlichtmann |
Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
Eric Cheng, Shahrzad Mirkhani, Lukasz G. Szafaryn, Chen-Yong Cher, Hyungmin Cho, Kevin Skadron, Mircea R. Stan, Klas Lilja, Jacob A. Abraham, Pradip Bose, Subhasish Mitra |
Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
Statistical fault injection for impact-evaluation of timing errors on application performance Jeremy Constantin, Andreas Peter Burg, Zheng Wang, Anupam Chattopadhyay, Georgios Karakonstantis |
Statistical fault injection for impact-evaluation of timing errors on application performance Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
Yong Shim, Abhronil Sengupta, Kaushik Roy |
Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
Accelerating soft-error-rate (SER) estimation in the presence of single event transients Ji Li, Jeffrey Draper |
Accelerating soft-error-rate (SER) estimation in the presence of single event transients Details |
|
Author Comments:
Discussion Comments:
0
Sharing:
Not able to share produced artifacts
Verification:
Authors have
verified
information
|
Utilization bounds on allocating rate-monotonic scheduled multi-mode tasks on multiprocessor systems Wen-Hung Huang, Jian-Jia Chen |
Utilization bounds on allocating rate-monotonic scheduled multi-mode tasks on multiprocessor systems Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
Physics-based full-chip TDDB assessment for BEOL interconnects Xin Huang, Valeriy Sukharev, Zhongdong Qi, Taeyoung Kim, Sheldon X.-D. Tan |
Physics-based full-chip TDDB assessment for BEOL interconnects Details |
|
Author Comments:
This paper has described a preliminary version of the commercial grade tool-prototype.
Discussion Comments:
0
Sharing:
Not able to share produced artifacts
Verification:
Authors have
verified
information
|
An MIG-based compiler for programmable logic-in-memory architectures Mathias Soeken, Saeideh Shirinzadeh, Pierre-Emmanuel Gaillardon, Luca Gaetano Amarù, Rolf Drechsler, Giovanni De Micheli |
An MIG-based compiler for programmable logic-in-memory architectures Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
Redundant via insertion for multiple-patterning directed-self-assembly lithography Seongbo Shim, Woohyun Chung, Youngsoo Shin |
Redundant via insertion for multiple-patterning directed-self-assembly lithography Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
Vincent Camus, Jeremy Schlachter, Christian C. Enz |
Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
Shift sprinting: fine-grained temperature-aware NoC-based MCSoC architecture in dark silicon age Amin Rezaei, Danella Zhao, Masoud Daneshtalab, Hongyi Wu |
Shift sprinting: fine-grained temperature-aware NoC-based MCSoC architecture in dark silicon age Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
Optimal and fast throughput evaluation of CSDF Bruno Bodin, Alix Munier Kordon, Benoît Dupont de Dinechin |
Optimal and fast throughput evaluation of CSDF Details |
Author Comments:
Discussion Comments:
0
Sharing:
Research produced artifacts
Verification:
Authors have
verified
information
|
|
Exploiting design-for-debug for flexible SoC security architecture Abhishek Basak, Swarup Bhunia, Sandip Ray |
Exploiting design-for-debug for flexible SoC security architecture Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
Achieving lightweight multicast in asynchronous networks-on-chip using local speculation Kshitij Bhardwaj, Steven M. Nowick |
Achieving lightweight multicast in asynchronous networks-on-chip using local speculation Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
TEMP: thread batch enabled memory partitioning for GPU Mengjie Mao, Wujie Wen, Xiaoxiao Liu, Jingtong Hu, Danghui Wang, Yiran Chen, Hai Li |
TEMP: thread batch enabled memory partitioning for GPU Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
MORPh: mobile OLED-friendly recording and playback system for low power video streaming Xiang Chen, Jiachen Mao, Jiafei Gao, Kent W. Nixon, Yiran Chen |
MORPh: mobile OLED-friendly recording and playback system for low power video streaming Details |
|
Author Comments:
Discussion Comments:
0
Sharing:
Other
Verification:
Authors have
verified
information
|
Near-threshold computing in FinFET technologies: opportunities for improved voltage scalability Nathaniel Ross Pinckney, Lucian Shifren, Brian Cline, Saurabh Sinha, Supreet Jeloka, Ronald G. Dreslinski, Trevor N. Mudge, Dennis Sylvester, David T. Blaauw |
Near-threshold computing in FinFET technologies: opportunities for improved voltage scalability Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
Distributed scheduling for many-cores using cooperative game theory Anuj Pathania, Vanchinathan Venkataramani, Muhammad Shafique, Tulika Mitra, Jörg Henkel |
Distributed scheduling for many-cores using cooperative game theory Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
Lin-analyzer: a high-level performance analysis tool for FPGA-based accelerators Guanwen Zhong, Alok Prakash, Yun Liang, Tulika Mitra, Smaïl Niar |
Lin-analyzer: a high-level performance analysis tool for FPGA-based accelerators Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
Invited - Can IoT be secured: emerging challenges in connecting the unconnected Nancy Cam-Winget, Ahmad-Reza Sadeghi, Yier Jin |
Invited - Can IoT be secured: emerging challenges in connecting the unconnected Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
Chen Yang, Leibo Liu, Shouyi Yin, Shaojun Wei |
Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
Priyadarshini Panda, Abhronil Sengupta, Syed Shakib Sarwar, Gopalakrishnan Srinivasan, Swagath Venkataramani, Anand Raghunathan, Kaushik Roy |
Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
SECRET: smartly EnCRypted energy efficient non-volatile memories Shivam Swami, Joydeep Rakshit, Kartik Mohanram |
SECRET: smartly EnCRypted energy efficient non-volatile memories Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
A novel cross-layer framework for early-stage power delivery and architecture co-exploration Cheng Zhuo, Kassan Unda, Yiyu Shi, Wei-Kai Shih |
A novel cross-layer framework for early-stage power delivery and architecture co-exploration Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
High-level synthesis for micro-electrode-dot-array digital microfluidic biochips Zipeng Li, Kelvin Yi-Tse Lai, Po-Hsien Yu, Tsung-Yi Ho, Krishnendu Chakrabarty, Chen-Yi Lee |
High-level synthesis for micro-electrode-dot-array digital microfluidic biochips Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
DISCO: a low overhead in-network data compressor for energy-efficient chip multi-processors Ying Wang, Yinhe Han, Jun Zhou, Huawei Li, Xiaowei Li |
DISCO: a low overhead in-network data compressor for energy-efficient chip multi-processors Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
Zewei Li, Yongpan Liu, Daming Zhang, Chun Jason Xue, Zhangyuan Wang, Xin Shi, Wenyu Sun, Jiwu Shu, Huazhong Yang |
Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
PDS: pseudo-differential sensing scheme for STT-MRAM Wang Kang, Tingting Pang, Bi Wu, Weifeng Lv, Youguang Zhang, Guangyu Sun, Weisheng Zhao |
PDS: pseudo-differential sensing scheme for STT-MRAM Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
Practical public PUF enabled by solving max-flow problem on chip Meng Li, Jin Miao, Kai Zhong, David Z. Pan |
Practical public PUF enabled by solving max-flow problem on chip Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
Sai Vineel Reddy Chittamuru, Ishan G. Thakkar, Sudeep Pasricha |
Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
Incremental layer assignment for critical path timing Derong Liu, Bei Yu, Salim Chowdhury, David Z. Pan |
Incremental layer assignment for critical path timing Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
A semantics-aware design for mounting remote sensors on mobile systems Yu-Wen Jong, Pi-Cheng Hsiu, Sheng-Wei Cheng, Tei-Wei Kuo |
A semantics-aware design for mounting remote sensors on mobile systems Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
Improving performance and lifetime of NAND storage systems using relaxed program sequence Jisung Park, Jaeyong Jeong, Sungjin Lee, Youngsun Song, Jihong Kim |
Improving performance and lifetime of NAND storage systems using relaxed program sequence Details |
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
|
Efficient design space exploration via statistical sampling and AdaBoost learning Dandan Li, Shuzhen Yao, Yu-Hang Liu, Senzhang Wang, Xian-He Sun |
Efficient design space exploration via statistical sampling and AdaBoost learning Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
Mathias Soeken, Anupam Chattopadhyay |
Details |
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
|
Invited - Integrated millimeter-wave/terahertz sensor systems for near-field IoT Payam Heydari |
Invited - Integrated millimeter-wave/terahertz sensor systems for near-field IoT Details |
|
Discussion Comments:
0
Verification:
Author has
not verified
information
|
Yixiao Ding, Chris C. N. Chu, Wai-Kei Mak |
Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
Chenlei Fang, Qicheng Huang, Fan Yang, Xuan Zeng, Dian Zhou, Xin Li |
Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
A quantum annealing approach for boolean satisfiability problem Juexiao Su, Tianheng Tu, Lei He |
A quantum annealing approach for boolean satisfiability problem Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
Invited - Cross-layer approaches for soft error modeling and mitigation Mojtaba Ebrahimi, Mehdi Baradaran Tahoori |
Invited - Cross-layer approaches for soft error modeling and mitigation Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
GarbledCPU: a MIPS processor for secure computation in hardware Ebrahim M. Songhori, Shaza Zeitouni, Ghada Dessouky, Thomas Schneider, Ahmad-Reza Sadeghi, Farinaz Koushanfar |
GarbledCPU: a MIPS processor for secure computation in hardware Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
Xin Zhan, Peng Li, Edgar Sánchez-Sinencio |
Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
Fedor Smirnov, Michael Glaß, Felix Reimann, Jürgen Teich |
Details |
|
Author Comments:
Discussion Comments:
0
Sharing:
Not able to share produced artifacts
Verification:
Authors have
verified
information
|
Accurate phase-level cross-platform power and performance estimation Xinnian Zheng, Lizy K. John, Andreas Gerstlauer |
Accurate phase-level cross-platform power and performance estimation Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
A model-driven approach to warp/thread-block level GPU cache bypassing Hongwen Dai, Chao Li, Huiyang Zhou, Saurabh Gupta, Christos Kartsaklis, Mike Mantor |
A model-driven approach to warp/thread-block level GPU cache bypassing Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
Invited - Cross-layer approximate computing: from logic to architectures Muhammad Shafique, Rehan Hafiz, Semeen Rehman, Walaa El-Harouni, Jörg Henkel |
Invited - Cross-layer approximate computing: from logic to architectures Details |
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
|
Area optimization of resilient designs guided by a mixed integer geometric program Hsin-Ho Huang, Huimei Cheng, Chris C. N. Chu, Peter A. Beerel |
Area optimization of resilient designs guided by a mixed integer geometric program Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
Lili Song, Ying Wang, Yinhe Han, Xin Zhao, Bosheng Liu, Xiaowei Li |
Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
A probabilistic scheduling framework for mixed-criticality systems Alejandro Masrur |
A probabilistic scheduling framework for mixed-criticality systems Details |
|
Discussion Comments:
0
Verification:
Author has
not verified
information
|
A quantitative analysis on microarchitectures of modern CPU-FPGA platforms Young-kyu Choi, Jason Cong, Zhenman Fang, Yuchen Hao, Glenn Reinman, Peng Wei |
A quantitative analysis on microarchitectures of modern CPU-FPGA platforms Details |
Author Comments:
Discussion Comments:
0
Sharing:
Research produced artifacts
Verification:
Authors have
verified
information
|
|
Random modulo: a new processor cache design for real-time critical systems Carles Hernández, Jaume Abella, Andrea Gianarro, Jan Andersson, Francisco J. Cazorla |
Random modulo: a new processor cache design for real-time critical systems Details |
|
Author Comments:
This invention is integrated on a RTL implementation of an enhanced Cobham Gaisler LEON3 processor. The full bitstream, where this invention is integrated in all first level data and instruction caches can be requested to Cobham Gaisler as announced in their website: http://www.gaisler.com/index.php/products/processors/leon3
Discussion Comments:
0
Sharing:
Other
Verification:
Authors have
verified
information
|
Efficient probing schemes for fine-pitch pads of InFO wafer-level chip-scale package Yu-Chieh Huang, Bing-Yang Lin, Cheng-Wen Wu, Mincent Lee, Hao Chen, Hung-Chih Lin, Ching-Nen Peng, Min-Jer Wang |
Efficient probing schemes for fine-pitch pads of InFO wafer-level chip-scale package Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
Shuangchen Li, Cong Xu, Qiaosha Zou, Jishen Zhao, Yu Lu, Yuan Xie |
Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
Energy efficient computation with asynchronous races Advait Madhavan, Timothy Sherwood, Dmitri B. Strukov |
Energy efficient computation with asynchronous races Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
Fa Wang, Xin Li |
Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
Strategy without tactics: policy-agnostic hardware-enhanced control-flow integrity Dean Sullivan, Orlando Arias, Lucas Davi, Per Larsen, Ahmad-Reza Sadeghi, Yier Jin |
Strategy without tactics: policy-agnostic hardware-enhanced control-flow integrity Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
Hehe Li, Yongpan Liu, Chenchen Fu, Chun Jason Xue, Donglai Xiang, Jinshan Yue, Jinyang Li, Daming Zhang, Jingtong Hu, Huazhong Yang |
Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
MIRROR: symmetric timing analysis for real-time tasks on multicore platforms with shared resources Wen-Hung Huang, Jian-Jia Chen, Jan Reineke |
MIRROR: symmetric timing analysis for real-time tasks on multicore platforms with shared resources Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
DeepBurning: automatic generation of FPGA-based learning accelerators for the neural network family Ying Wang, Jie Xu, Yinhe Han, Huawei Li, Xiaowei Li |
DeepBurning: automatic generation of FPGA-based learning accelerators for the neural network family Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
StitchUp: automatic control flow protection for high level synthesis circuits Shane T. Fleming, David B. Thomas |
StitchUp: automatic control flow protection for high level synthesis circuits Details |
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
|
An FPGA-based infrastructure for fine-grained DVFS analysis in high-performance embedded systems Paolo Mantovani, Emilio G. Cota, Kevin Tien, Christian Pilato, Giuseppe Di Guglielmo, Kenneth L. Shepard, Luca P. Carloni |
An FPGA-based infrastructure for fine-grained DVFS analysis in high-performance embedded systems Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
Seyed Ali Rokni, Hassan Ghasemzadeh |
Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
A real-time energy-efficient superpixel hardware accelerator for mobile computer vision applications Injoon Hong, Jason Clemons, Rangharajan Venkatesan, Iuri Frosio, Brucek Khailany, Stephen W. Keckler |
A real-time energy-efficient superpixel hardware accelerator for mobile computer vision applications Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
Invited - Cross-layer modeling and optimization for electromigration induced reliability Taeyoung Kim, Zeyu Sun, Chase Cook, Hengyang Zhao, Ruiwen Li, Daniel Wong, Sheldon X.-D. Tan |
Invited - Cross-layer modeling and optimization for electromigration induced reliability Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
Multiple patterning layout decomposition considering complex coloring rules Hua-Yu Chang, Iris Hui-Ru Jiang |
Multiple patterning layout decomposition considering complex coloring rules Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
Matthew Vilim, Henry Duwe, Rakesh Kumar |
Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
Invited - Towards fail-operational ethernet based in-vehicle networks Mischa Möstl, Daniel Thiele, Rolf Ernst |
Invited - Towards fail-operational ethernet based in-vehicle networks Details |
|
Author Comments:
Discussion Comments:
0
Sharing:
Research produced no artifacts
Verification:
Authors have
verified
information
|
Matthias Jung, Deepak M. Mathew, Christian Weis, Norbert Wehn |
Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
On harmonic fixed-priority scheduling of periodic real-time tasks with constrained deadlines Tianyi Wang, Qiushi Han, Shi Sha, Wujie Wen, Gang Quan, Meikang Qiu |
On harmonic fixed-priority scheduling of periodic real-time tasks with constrained deadlines Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
Chun-Chen Liu, Yen-Hsiang Wang, Yilei Li, Chien-Heng Wong, Tien Pei Chou, Young-Kai Chen, M.-C. Frank Chang |
Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
An MPSoC for energy-efficient database query processing Sebastian Haas, Oliver Arnold, Benedikt Nöthen, Stefan Scholze, Georg Ellguth, Andreas Dixius, Sebastian Höppner, Stefan Schiefer, Stephan Hartmann, Stephan Henker, Thomas Hocker, Jörg Schreiter, Holger Eisenreich, Jens-Uwe Schlüßler, Dennis Walter, Tobias Seifert, Friedrich Pauls, Mattis Hasler, Yong Chen, Hermann Hensel, Sadia Moriam, Emil Matús, Christian Mayr, René Schüffny, Gerhard P. Fettweis |
An MPSoC for energy-efficient database query processing Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
Physical unclonable functions-based linear encryption against code reuse attacks Pengfei Qiu, Yongqiang Lyu, Jiliang Zhang, Xingwei Wang, Di Zhai, Dongsheng Wang, Gang Qu |
Physical unclonable functions-based linear encryption against code reuse attacks Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
Invited - Things, trouble, trust: on building trust in IoT systems Tigist Abera, N. Asokan, Lucas Davi, Farinaz Koushanfar, Andrew Paverd, Ahmad-Reza Sadeghi, Gene Tsudik |
Invited - Things, trouble, trust: on building trust in IoT systems Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
Invited - A box of dots: using scan-based path delay test for timing verification Alfred L. Crouch, John C. Potter |
Invited - A box of dots: using scan-based path delay test for timing verification Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
Hadi Asghari Moghaddam, Hamid Reza Ghasemi, Abhishek Arvind Sinkar, Indrani Paul, Nam Sung Kim |
Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
Write-back aware shared last-level cache management for hybrid main memory Deshan Zhang, Lei Ju, Mengying Zhao, Xiang Gao, Zhiping Jia |
Write-back aware shared last-level cache management for hybrid main memory Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
Invited - Context-aware energy-efficient communication for IoT sensor nodes Shreyas Sen |
Invited - Context-aware energy-efficient communication for IoT sensor nodes Details |
|
Discussion Comments:
0
Verification:
Author has
not verified
information
|
Integration of multi-sensor occupancy grids into automotive ECUs Tiana A. Rakotovao, Julien Mottin, Diego Puschini, Christian Laugier |
Integration of multi-sensor occupancy grids into automotive ECUs Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
Improving mobile gaming performance through cooperative CPU-GPU thermal management Alok Prakash, Hussam Amrouch, Muhammad Shafique, Tulika Mitra, Jörg Henkel |
Improving mobile gaming performance through cooperative CPU-GPU thermal management Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|
Architecting energy-efficient STT-RAM based register file on GPGPUs via delta compression Hang Zhang, Xuhao Chen, Nong Xiao, Fang Liu |
Architecting energy-efficient STT-RAM based register file on GPGPUs via delta compression Details |
|
Discussion Comments:
0
Verification:
Authors have
not verified
information
|